vi mux_2_1.vhdl ghdl -a mux_2_1.vhdl ghdl -e teste ghdl -r teste --vcd=teste.vcd gtkwave teste.vcd